Algorithms for the scaling toward nanometer VLSI physical synthesis
نویسنده
چکیده
Algorithms for the Scaling Toward Nanometer VLSI Physical Synthesis. (December 2005) Chin Ngai Sze, B.Eng., The Chinese University of Hong Kong; M.Phil., The Chinese University of Hong Kong Chair of Advisory Committee: Dr. Jiang Hu Along the history of Very Large Scale Integration (VLSI), we have successfully scaled down the size of transistors, scaled up the speed of integrated circuits (IC) and the number of transistors in a chip these are just a few examples of our achievement in VLSI scaling. It is projected to enter the nanometer ( ) scale era in the nearest future. At the same time, the scaling has imposed new challenges to physical synthesis. Among all the challenges, this thesis focuses on the following problems: Increasingly domination of interconnect delay leads to a need in interconnect-centric design flows; Different design stages (e.g. floorplanning, placement and global routing) have unmatched timing estimation, which brings difficulty in timing closure; More and more VLSI circuits are designed in architectural styles, which require a new set of algorithms. The paper consists of two parts, each of which focuses on several specific problems in VLSI physical synthesis when facing the new challenges. Part-1 Place and route aware buffer Steiner tree construction Efficient techniques are presented for the problem of buffered interconnect tree construction under blockage and routing congestion constraint. This part also contains
منابع مشابه
A Comparative Scaling Analysis of Metallic and Carbon Nanotube Interconnections for Nanometer Scale VLSI Technologies
This paper addresses the critical issue of scaling limits of local interconnects, contact plugs and local vias made of metal. It is shown that the current carrying capacity of copper vias/contacts fails to meet ITRS current density requirements beyond the 45 nm technology node. Additionally, the electrical properties of local interconnects/vias made of carbon nanotube (CNT) arrays are analyzed ...
متن کاملThe Vlsi Seminar Series
The unabated silicon technology scaling makes design and manufacturing increasingly harder in nanometer VLSI. On one hand, major design objectives such as timing, power, and noise are often conflicting with each other in modern designs with multi-million gates, resulting in complex design closure. On the other hand, design closure no longer guarantees historical yield norm, requiring ever-chall...
متن کاملMultiscale Optimization in VLSI Physical Design Automation
The enormous size and complexity of current and future integrated circuits (IC’s) presents a host of challenging global, combinatorial optimization problems. As IC’s enter the nanometer scale, there is increased demand for scalable and adaptable algorithms for VLSI physical design: the transformation of a logicaltemporal circuit specification into a spatially explicit one. There are several key...
متن کاملWhat is Physical Synthesis?
VLSI technology scaling has caused interconnect delay to increasingly dominate the overall chip performance. A design that satisfies timing constraints after logic synthesis will not necessarily meet timing constraints after place-androute due to wire delays. Physical synthesis has been emerged as a necessary weapon for design closure. It is a core component of modern VLSI design methodologies ...
متن کاملCircuits interconnections and packaging for vlsi pdf
Wider buses require more interconnect resources and packaging pins, and the.IOSR Journal of VLSI and Signal Processing IOSRJVSP. H.Bakoglu Circuits, Interconnections and Packaging for VLSI Addison-Wesley publishing.Most logic circuits are known to exhibit q0-6 whereas, for instance, neural. B, 1990, Circuits, Interconnections and Packaging for VLSI.The growth of VLSI circuits is largely depende...
متن کامل